checkAd

     209  0 Kommentare Applied Materials’ New Vistara Wafer Manufacturing Platform Helps Customers Tackle Chipmaking Challenges

    • Flexibility: Applied’s most significant new platform in more than a decade hosts an unprecedented wide variety of chamber types, sizes and configurations, from Applied and partners
    • Intelligence: Massive onboard sensor data feeds Applied’s AIx software platform to accelerate R&D, speed time to market, and maximize output and yield in high-volume production
    • Sustainability: First platform purpose-designed to help customers meet sustainability goals by reducing fab energy, chemicals and construction materials consumption

    SANTA CLARA, Calif., July 11, 2023 (GLOBE NEWSWIRE) -- Applied Materials, Inc. today introduced Vistara, Applied’s most significant wafer manufacturing platform innovation in more than a decade, designed to provide chipmakers with the flexibility, intelligence and sustainability needed to tackle growing chipmaking challenges.

    The Vistara platform builds upon the company’s long history of leadership in semiconductor manufacturing platforms that include Endura, Producer, Centura and Centris, found in fabs around the world and used in the production of virtually every chip made. Vistara was developed over more than four years by hundreds of engineers from across Applied’s hardware, software, process technology and ecoefficiency design teams.

    Anzeige 
    Handeln Sie Ihre Einschätzung zu Applied Materials!
    Long
    202,31€
    Basispreis
    1,77
    Ask
    × 11,49
    Hebel
    Short
    240,15€
    Basispreis
    1,86
    Ask
    × 10,93
    Hebel
    Präsentiert von

    Den Basisprospekt sowie die Endgültigen Bedingungen und die Basisinformationsblätter erhalten Sie bei Klick auf das Disclaimer Dokument. Beachten Sie auch die weiteren Hinweise zu dieser Werbung.

    “Like its predecessors, Vistara is designed to be a trusted platform for many years of customer innovation, reliability and productivity,” said Dr. Prabu Raja, President of the Semiconductor Products Group at Applied Materials. “Vistara is being launched at an opportune time when the semiconductor industry needs unique solutions to address growing chipmaking challenges related to complexity, cost, cadence and carbon emissions.”

    Flexibility

    Vistara’s unmatched flexibility helps chipmakers solve the growing complexity challenges of advanced chipmaking. The Vistara platform is able to use an unprecedented wide variety of chamber types, sizes and configurations from Applied and its partners. It can be configured with four or six wafer batch load ports and from as few as four to as many as 12 process chambers to address a wide variety of workloads. The Vistara platform accepts both smaller chambers used in processes like atomic layer deposition and chemical vapor deposition as well as larger chambers used in processes such as epitaxy and etching. Applied and its customers can combine these chambers to develop IMS (Integrated Materials Solution) recipes whereby a number of sequential wafer manufacturing process steps are completed in the same system, under vacuum. The flexibility of Vistara gives chipmakers IMS technology combinations that were never possible before, enabling them to deliver innovative transistors, memories and wiring, improve performance and power, and protect against yield-killing particles and defects.

    Seite 1 von 4



    globenewswire
    0 Follower
    Autor folgen

    Verfasst von globenewswire
    Applied Materials’ New Vistara Wafer Manufacturing Platform Helps Customers Tackle Chipmaking Challenges Flexibility: Applied’s most significant new platform in more than a decade hosts an unprecedented wide variety of chamber types, sizes and configurations, from Applied and partnersIntelligence: Massive onboard sensor data feeds Applied’s AIx …

    Schreibe Deinen Kommentar

    Disclaimer